Connectivity – Dow Electronic Materials IMAPS DPC 2018 to Explore the Future of Advanced Packaging http://blog.dowelectronicmaterials.com/en/posts/2018/02/imaps-dpc-2018-advanced-packaging-future Dow’s experts to be featured at the IMAPS Device Packaging Conference discussing the future of advanced packaging. Mon, 26 Feb 2018 15:25:12 GMT dow peter trefonas named spie fellow http://blog.dowelectronicmaterials.com/en/posts/2018/02/dow-peter-trefonas-named-spie-fellow Dow is pleased to announce that Peter Trefonas, Ph.D., has been named a Fellow of SPIE, the international society for optics and photonics. Mon, 26 Feb 2018 13:32:53 GMT 2018 Advanced Packaging Trends http://blog.dowelectronicmaterials.com/en/posts/2018/02/2018-advanced-packaging-trends Rob Kavanagh’s outlook on key advanced packaging trends for the year, including increased adoption of fan-out and memory growth. Thu, 15 Feb 2018 15:15:01 GMT Dow 2018 SPIE Advanced Lithography Conference http://blog.dowelectronicmaterials.com/en/posts/2018/01/dow-2018-spie-advanced-lithography-conference The SPIE Advanced Lithography Conference is a highlight for the lithography community. The Dow team will share research on multiple lithography topics. Mon, 29 Jan 2018 08:10:28 GMT Boric Acid-Free Nickel Electroplating: Another REACH Challenge http://blog.dowelectronicmaterials.com/en/posts/2018/01/boric-acid-free-nickel-electroplating-reach With boric acid and borate salt’s inclusion in EU REACH, applications like nickel electroplating need to find effective alternatives to replace these substances. Fri, 26 Jan 2018 13:18:11 GMT Dow and DuPont Drive Innovation at Productronica http://blog.dowelectronicmaterials.com/en/posts/2017/10/dow-and-dupont-drive-innovation-at-productronica This year’s Productronica show brings together the Dow & DuPont Circuit Technologies teams to offer a glimpse of future synergies. Learn more in our latest blog post. Fri, 26 Jan 2018 10:56:57 GMT Dow and DuPont Previewed Future Display Technologies at IMID 2017 http://blog.dowelectronicmaterials.com/en/posts/2017/12/dow-and-dupont-previewed-future-display-technologies-at-imid-2017 Dow and DuPont’s Display Technologies businesses recently showcased a variety of future display technologies at the IMID 2017 Korea Display Exhibition. Tue, 12 Dec 2017 08:36:49 GMT IMPACT Conference 2017 http://blog.dowelectronicmaterials.com/en/posts/2017/10/impact-conference-2017 Dow’s experts are honored to join the ranks of renowned researchers and academia participating at IMPACT 2017 Conference. Wed, 29 Nov 2017 13:03:50 GMT How Silver Catalysts Enhance PCB Manufacturing http://blog.dowelectronicmaterials.com/en/posts/2017/10/silver-catalysts-enhance-pcb-manufacturing Silver catalysts enhance PCBs with good catalytic activity, high electrical conductivity, good process stability, and allow for lower manufacturing costs. Wed, 29 Nov 2017 13:01:12 GMT Flexible PCBs for Smartphones Need New Metallization Processes http://blog.dowelectronicmaterials.com/en/posts/2017/09/flexible-pcbs-for-smartphones-need-new-metallization-processes Dow has developed an environmentally friendly metallization process to reduce plating costs and meet performance demands of flexible PCBs. Wed, 29 Nov 2017 12:01:06 GMT Tailoring Copper Plating for Vias on IC Package Substrates http://blog.dowelectronicmaterials.com/en/posts/2017/11/tailoring-copper-plating-for-vias-on-ic-package-substrates Dow Electronic Materials has created the MICROFILL SFP copper plating chemistry, optimized specifically for plating vias in IC substrate applications. Wed, 29 Nov 2017 11:38:24 GMT Impact of REACH Regulation http://blog.dowelectronicmaterials.com/en/posts/2016/03/is-reach-regulation-changing-the-products-you-use After 10 years of REACH regulation, the semiconductor industry is realizing its impact. This article discusses Dow's compliance for its interconnect materials. Mon, 13 Nov 2017 08:21:37 GMT Nitta Haas JV Receives Siltronic Long-Term Partner Award http://blog.dowelectronicmaterials.com/en/posts/2017/10/nitta-haas-jv-siltronic-long-term-partner-award Nitta Haas Inc, a Dow joint venture, was recently recognized with a Supplier of the Year Award from Siltronic AG for its high-quality polishing materials. Tue, 31 Oct 2017 14:21:58 GMT Behind the Scenes of Dow’s Finalists for the 2015 R&D 100 Awards: Part 3 | IKONIC™ 2000 CMP Polishing Pads http://blog.dowelectronicmaterials.com/en/posts/2015/11/2015-r-and-d-100-awards-part-3-ikonic-cmp-polishing-pads In this interview, Dow's Marty DeGroot talks about CMP market drivers and next-generation requirements behind IKONIC 2000 CMP polishing pads. Tue, 17 Oct 2017 11:41:54 GMT CMP Cost Reduction by Optimal Pad Selection http://blog.dowelectronicmaterials.com/en/posts/2015/08/cmp-cost-reduction IKONIC™ Polishing Pads enable optimal CMP pad selection, leading to low CMP cost through higher device yields, longer use time & coordination of manufacturing technologies. Tue, 17 Oct 2017 11:41:24 GMT Three Dow Electronic Materials Technologies Named Finalists for 2015 R&D 100 Awards http://blog.dowelectronicmaterials.com/en/posts/2015/08/three-finalists-for-2015-r-and-d-awards Three of the 21 Dow products selected as finalists for the 2015 R&D 100 Awards were developed by Dow Electronic Materials. Tue, 17 Oct 2017 11:40:33 GMT CMP Pad Selection for 28nm Node and Beyond http://blog.dowelectronicmaterials.com/en/posts/2015/07/cmp-pads-selection Dow addresses customers’ ever-evolving manufacturing needs with a wide selection of CMP pads for nodes of different sizes, starting from 28nm. Tue, 17 Oct 2017 11:39:45 GMT Developing CMP Consumables for New IC Materials http://blog.dowelectronicmaterials.com/en/posts/2015/12/new-cmp-materials Dow is researching new CMP materials to be integrated into more complex semiconductor structures to continue scaling of transistors and other devices. Tue, 17 Oct 2017 11:38:56 GMT Semiconductor Manufacturing Technologies from Dow Named RD100 Award Finalists http://blog.dowelectronicmaterials.com/en/posts/2016/08/semiconductor-manufacturing-technologies-from-dow-named-rd100-award-finalists Dow’s CTO™ 2000 Trimming Overcoat and IKONIC™ 4100 Polishing Pads for semiconductor manufacturing have been named finalists for the 2016 R&D 100 Awards. Tue, 17 Oct 2017 11:38:10 GMT IKONIC 4100 Polishing Pads RD100 Award Finalist http://blog.dowelectronicmaterials.com/en/posts/2016/11/ikonic-4100-polishing-pads-rd100-award-finalist A finalist for the 2016 R&D 100 Awards, Dow’s IKONIC™ 4100 polishing pads deliver exceptional benefits to IC manufacturers. Learn more in this interview. Tue, 17 Oct 2017 11:37:10 GMT Innovation in CMP The IKONIC Polishing Pad Platform http://blog.dowelectronicmaterials.com/en/posts/2017/08/innovation-in-cmp-the-ikonic-polishing-pad-platform In this interview, Dow's Colin Cameron discusses the advanced IKONIC™ CMP pad platform and its value in the marketplace. Fri, 08 Sep 2017 11:51:30 GMT semiconductor market forecast calls for collaboration http://blog.dowelectronicmaterials.com/en/posts/2017/02/semiconductor-market-forecast-calls-for-collaboration Industry analysts forecast a strong year for the semiconductor market. Dow's Colin Cameron discusses market drivers and the value of collaboration. Fri, 08 Sep 2017 11:43:52 GMT Press fit solutions for automotive reliability http://blog.dowelectronicmaterials.com/en/posts/2017/09/press-fit-solutions-for-automotive-reliability Dow Electronic Materials has developed solderless press-fit solutions that meet the reliability demands for a growing range of automotive applications. Wed, 06 Sep 2017 08:16:45 GMT Dow Litho Technologies Recognized with SMICs Best Technical Supplier Award http://blog.dowelectronicmaterials.com/en/posts/2017/08/dow-litho-technologies-recognized-with-smics-best-technical-supplier-award Dow Litho Technologies was the only materials supplier to receive the Best Technical Supplier Award from SMIC in 2017. Wed, 02 Aug 2017 11:36:57 GMT Advanced Via Fill for HDI Applications http://blog.dowelectronicmaterials.com/en/posts/2017/07/advanced-via-fill-for-hdi-applications Dow Electronic Materials meets market needs with enhanced performance and continuous innovation in advanced via fill for HDI Applications. Tue, 01 Aug 2017 11:54:04 GMT The Future Is Cadmium Free Bright and Safe http://blog.dowelectronicmaterials.com/en/posts/2017/07/the-future-is-cadmium-free-bright-and-safe Dow is committed to a cadmium-free approach, focusing on development of materials that deliver the benefits of quantum dots without the cadmium's drawbacks. Thu, 27 Jul 2017 07:08:55 GMT Advancing Thick PCB Manufacturing Process 5G Infrastructure http://blog.dowelectronicmaterials.com/en/posts/2017/03/advancing-thick-pcb-manufacturing-process-5g-infrastructure Developing a PCB manufacturing process for thick PCBs presents both a challenge and an opportunity for the copper plating industry. Mon, 24 Jul 2017 13:45:31 GMT Dow to Showcase New Metallization Chemistries at ECTC 2017 http://blog.dowelectronicmaterials.com/en/posts/2017/05/dow-new-metallization-chemistries-ectc-2017 Dow is a proud sponsor of ECTC 2017, where we will introduce 2 new products that expand our metallization portfolio for advanced packaging applications. Wed, 19 Jul 2017 14:46:20 GMT Inkjet Masking Reduces Gold Usage and Cost in Connectors http://blog.dowelectronicmaterials.com/en/posts/2017/07/inkjet-masking-reduces-gold-usage-and-cost-in-connectors Dow’s inkjet masking resist technology can mask selected areas of stamped connector reels for gold plating, decreasing financial risk and process cost. Wed, 19 Jul 2017 11:35:39 GMT Fine-tuning CMP Slurries for 3D TSV Processes http://blog.dowelectronicmaterials.com/en/posts/2017/06/fine-tuning-cmp-slurries-for-tsv-processes In 3D through silicon via (TSV) processes, tunable slurries for chemical mechanical planarization (CMP) can be used to meet varied topography requirements. Tue, 27 Jun 2017 08:42:05 GMT James fahey keynote inaguralIMAPS SiP 2017 conference http://blog.dowelectronicmaterials.com/en/posts/2017/06/james-fahey-keynote-inagural-imaps-sip-2017-conference Speech to address the evolution of the semiconductor industry and the importance of electronic materials in advancing chip and packaging technologies. Wed, 21 Jun 2017 10:01:42 GMT How Indium Enables Advanced Semiconductor Packaging http://blog.dowelectronicmaterials.com/en/posts/2017/05/indium-enables-advanced-semiconductor-packaging In this interview, we explore the capabilities of Dow’s latest indium-based SOLDERON™ product and how it enables advanced semiconductor packaging. Thu, 25 May 2017 16:02:19 GMT Developing the Chinese Semiconductor Industry Ecosystem http://blog.dowelectronicmaterials.com/en/posts/2017/05/developing-the-chinese-semiconductor-industry-ecosystem Dow Electronic Materials' George Lu explains how the Chinese Semiconductor Industry needs to build out a complete supply chain to compete globally. Thu, 25 May 2017 14:07:23 GMT PCB Final Finish Cyanide Free ENIG Coatings http://blog.dowelectronicmaterials.com/en/posts/2017/05/pcb-final-finish-cyanide-free-enig-coatings Although there are many potential roadblocks to cleaning up PCB final finishes, reliable cyanide-free ENIG surfaces deliver high performance. Wed, 10 May 2017 10:51:53 GMT Role of Additives and Cu Purity in Advanced Package Reliability http://blog.dowelectronicmaterials.com/en/posts/2017/05/additives-cu-purity-advanced-package-reliability This article explores the impact of HD FO, 2.5D & 3D packaging on Cu plating requirements, and additives' role in meeting metallization requirements. Tue, 02 May 2017 08:27:04 GMT James Thackeray Named Spie Fellow http://blog.dowelectronicmaterials.com/en/posts/2017/04/james-thackeray-named-spie-fellow Dow Electronic Materials' James Thackeray, Ph.D., has been named a SPIE Fellow for his many achievements in lithography materials development. Thu, 13 Apr 2017 13:36:57 GMT Enhancing Advanced PCB Performance with Corrosion-Resistant Electroless Nickel http://blog.dowelectronicmaterials.com/en/posts/2016/05/enhancing-advanced-pcb-performance-corrosion-resistant-electroless-nickel This tutorial examines PCB technology and how high-phos electroless nickel helps meet the needs of PCBs that power today’s electronic devices. Thu, 06 Apr 2017 09:11:40 GMT Market Drivers for Advanced Packaging Metallization http://blog.dowelectronicmaterials.com/en/posts/2017/04/market-drivers-advanced-packaging-metallization Advanced wafer-level packaging technologies are key to meeting future electronics' requirements; here, we examine the role of metallization. Thu, 06 Apr 2017 09:05:05 GMT Meeting the Challenges of Copper Plating for Advanced High Layer Count PCBs http://blog.dowelectronicmaterials.com/en/posts/2016/02/meeting-the-challenges-of-copper-plating-for-advanced-high-layer-count-pcbs This article explores the challenges of manufacturing to meet emerging PCB. Thu, 06 Apr 2017 09:02:33 GMT Inner layer Copper Reliability of Electroless Copper Processes http://blog.dowelectronicmaterials.com/en/posts/2015/10/inner-layer-copper-reliability-of-electroless-copper-processes This article examines the importance of understanding material interactions to achieve manufacturing control and interconnect reliability in PCBs. Thu, 06 Apr 2017 09:01:20 GMT Metallization Products Next Gen Devices http://blog.dowelectronicmaterials.com/en/posts/2015/08/via-fill-for-new-hdi-pcb-applications HDI PCB structures increase the functionality of a circuit board while using less area, which allows for new applications but also brings new via fill requirements. Thu, 06 Apr 2017 08:59:45 GMT NPI Awards Honor COPPER GLEAM™ PPR-II Acid Cu Plating http://blog.dowelectronicmaterials.com/en/posts/2017/03/npi-awards-copper-gleam-ppr-ii-acid-cu-plating The annual NPI Awards recognize best-of-breed new products. Dow’s PPR-II Acid Copper was named best new plating technology for 2017. Wed, 22 Mar 2017 08:26:21 GMT advances patterning materials processes spie advanced lithography http://blog.dowelectronicmaterials.com/en/posts/2017/02/advances-patterning-materials-processes-spie-advanced-lithography Dow will share two presentations as part of the Advances in Patterning Materials and Processes conference at SPIE Advanced Lithography 2017 in San Jose, CA. Mon, 13 Mar 2017 11:10:33 GMT New Nanorod LED Technology Responds to Light Input http://blog.dowelectronicmaterials.com/en/posts/2017/02/nanorod-led-technology-responds-light-input Researchers report on new dual-function nanorod LED arrays that can respond to light, creating pathways for designing new types of interactive displays. Mon, 13 Mar 2017 10:23:27 GMT Acidic CMP Slurry and Advanced Patterning at CSTIC http://blog.dowelectronicmaterials.com/en/posts/2017/03/acidic-cmp-slurry-and-advanced-patterning-at-cstic At CSTIC, Dow's two presentations will cover research into a new acidic ILD slurry formulation and materials for sub 10nm lithographic patterning. Fri, 10 Mar 2017 11:46:58 GMT Moving into China Advances in Next Generation Displays http://blog.dowelectronicmaterials.com/en/posts/2017/03/china-advances-next-generation-displays Dow’s O’Connell looks at how China is embracing cutting-edge, next-generation display technologies and represents an important market for suppliers. Tue, 07 Mar 2017 16:47:44 GMT IMAPS Device Packaging Conference next gen materials http://blog.dowelectronicmaterials.com/en/posts/2017/02/imaps-device-packaging-conference-next-gen-materials Dow Electronic Materials experts to be featured at the 13th annual IMAPS Device Packaging Conference Tue, 28 Feb 2017 15:14:38 GMT CMP Solutions for 10nm and Beyond http://blog.dowelectronicmaterials.com/en/posts/2016/10/cmp-solutions-for-10nm-and-beyond As the semiconductor industry moves toward 10nm and beyond, innovative CMP materials can overcome traditional trade-offs in planarization and defectivity. Tue, 28 Feb 2017 11:21:49 GMT Dow Weighs in on the Heterogeneous Integration Roadmap for 2017 http://blog.dowelectronicmaterials.com/en/posts/2017/02/dow-weighs-in-on-the-heterogeneous-integration-roadmap Dow’s Rob Kavanagh shares his opinion on the new initiative for a Heterogeneous Integration Technology Roadmap for Semiconductors in this 2017 outlook. Tue, 21 Feb 2017 09:43:11 GMT Will Fan Out Panel Level Packaging Really Help http://blog.dowelectronicmaterials.com/en/posts/2017/02/will-fan-out-panel-level-packaging-really-help Advanced semiconductor packaging is considering a shift to fan-out panel-level packaging. This blog examines the challenges this new technology is facing. Tue, 14 Feb 2017 08:30:26 GMT Dow to Present at Inaugural Electron Devices Technology and Manufacturing Conference http://blog.dowelectronicmaterials.com/en/posts/2017/02/dow-presents-electron-devices-technology-manufacturing-conference Dow's Beica to present on Advanced Materials and Interconnect Technologies for Next-Generation Smart Devices at Electron Devices Technology & Manufacturing. Thu, 09 Feb 2017 10:44:30 GMT Why Converting Tin Electroplating Lines Makes Sense Now http://blog.dowelectronicmaterials.com/en/posts/2017/02/why-converting-tin-electroplating-lines-makes-sense-now Increasing concerns about toxicity and materials waste when tin-plating steel pose compelling reasons convert current tin plating lines to MSA. Tue, 07 Feb 2017 09:02:46 GMT 2017 Outlook: Lithography Materials Support Growth in China http://blog.dowelectronicmaterials.com/en/posts/2017/02/2017-outlook-lithography-materials-support-growth-in-china Dow’s Shuji Ding-Lee looks ahead at the role lithography materials play in enabling next-gen technologies and the growth of China’s IC market in 2017. Tue, 31 Jan 2017 08:31:16 GMT Transitioning to Chromium Free Etch Technology Plating on Plastics http://blog.dowelectronicmaterials.com/en/posts/2017/01/transitioning-to-chromium-free-etch-technology-plating-on-plastics Dow reports on the company’s progress towards a plating on plastics (POP) process free from hexavalent chromium to comply with REACH legislation. Tue, 24 Jan 2017 08:29:22 GMT Science Behind The Screen LCD TVs Getting Better http://blog.dowelectronicmaterials.com/en/posts/2017/01/science-behind-the-screen-lcd-tvs-getting-better This blog examines the benefits of the latest TFT technology, especially the impact organic insulator (NPL) technology is making to enable next-gen LCD TVs. Mon, 23 Jan 2017 08:28:30 GMT Packaging For Smart Devices at European 3d Summit http://blog.dowelectronicmaterials.com/en/posts/2017/01/packaging-for-smart-devices-at-european-3d-summit Dow experts to present at the European 3D Summit on integrated advanced packaging solutions for next-generation smart devices. Thu, 19 Jan 2017 08:54:22 GMT 2017 Outlook Trends in Advanced Packaging and Chinas Role http://blog.dowelectronicmaterials.com/en/posts/2017/01/2017-outlook-trends-in-advanced-packaging-and-chinas-role Dow’s Rob Kavanagh looks back on 2016 and how it will impact 2016, including China’s expanding role in semiconductor advanced packaging. Wed, 18 Jan 2017 10:04:36 GMT Examining Unique Plating Challenges of TSVs http://blog.dowelectronicmaterials.com/en/posts/2017/01/examining-unique-plating-challenges-of-tsvs This tutorial examines the challenges of copper TSV electroplating for optimal void-free fill at high current density Tue, 17 Jan 2017 11:39:27 GMT 2017 Outlook Fan Out Wafer Level Packaging Goes Mainstream http://blog.dowelectronicmaterials.com/en/posts/2017/01/2017-outlook-fan-out-wafer-level-packaging-goes-mainstream Dow’s Rob Kavanagh looks at challenges to be addressed as fan-out wafer level packaging enters the mainstream in his 2017 SPN outlook. Fri, 13 Jan 2017 09:03:41 GMT Copper Electroplating Fundamentals http://blog.dowelectronicmaterials.com/en/posts/2016/11/copper-electroplating-fundamentals This tutorial examines the concept of copper electroplating, how the process works and use in various advanced packaging applications. Wed, 11 Jan 2017 13:14:15 GMT Dow’s Taiwan CMP Team Honored with Occupational Safety and Health Award http://blog.dowelectronicmaterials.com/en/posts/2016/12/dow-taiwan-cmp-team-occupational-safety-health-award Dow received the 2016 Taiwan Occupational Safety and Health Award for its Asia CMP Manufacturing and Technical Center. Tue, 13 Dec 2016 08:36:30 GMT Copper Pillar Electroplating Tutorial http://blog.dowelectronicmaterials.com/en/posts/2016/12/copper-pillar-electroplating-tutorial Thu, 08 Dec 2016 16:53:43 GMT Performance Gains in CMP Slurry for Advanced Semiconductor Nodes http://blog.dowelectronicmaterials.com/en/posts/2016/12/performance-gains-in-cmp-slurry-for-advanced-semiconductor-nodes In a recent Solid State Technology article, Dow authors discuss new requirements for CMP at advanced semiconductor nodes and new slurry formulations. Wed, 07 Dec 2016 08:39:12 GMT Autonomous cars drive the next-gen PCB material requirements http://blog.dowelectronicmaterials.com/en/posts/2016/11/autonomous-cars-driving-next-gen-pcb-material-requirements The need for automotive electronics to work reliably for many years is more important than ever. Here, Dow's Lin discusses PCB material requirements and how Dow is meeting them. Tue, 06 Dec 2016 08:35:49 GMT Evolution of LCDs, Part 1: BCS Technology http://blog.dowelectronicmaterials.com/en/posts/2016/11/evolution-of-lcds-part1-bcs-technology Dow experts discuss BCS technology in the context of how it is reshaping the future of displays as well as cost and performance benefits. Tue, 15 Nov 2016 09:25:11 GMT Dow Gold Silver Copper Solutions for IoT Devices IMPACT IIAC2016 http://blog.dowelectronicmaterials.com/en/posts/2016/11/dow-gold-silver-copper-solutions-for-iot-devices-impact-iiac2016 Dow recently presented at IMPACT-IIAC 2016 on a variety of Gold, Silver, and Copper Solutions for IoT Devices. Learn about these papers on Connectivity. Wed, 09 Nov 2016 08:31:17 GMT CTO™ 2000 Trimming Overcoat: R&D 100 Finalist http://blog.dowelectronicmaterials.com/en/posts/2016/10/technology-spotlight-cto2000-trimming-overcoat-rd100-finalist In this interview, our experts discuss the innovation behind Dow's CTO™ 2000 Trimming Overcoat, a finalist for the 2016 R&D 100 Awards. Mon, 31 Oct 2016 13:17:31 GMT Peter Trefonas 2016 Perkin Medal Recipient Credits Chemistry for Enabling the Information Age http://blog.dowelectronicmaterials.com/en/posts/2016/09/peter-trefonas-2016-perkin-medal-recipient-credits-chemistry-for-enabling-the-information-age Peter Trefonas, Ph.D., credits chemistry for enabling the information age during his remarks at the 2016 SCI Perkin Medal award ceremony. Fri, 21 Oct 2016 13:57:35 GMT Hear from Dow’s Experts at the ICPT CMP Conference in Beijing http://blog.dowelectronicmaterials.com/en/posts/2016/10/dow-at-icpt-cmp-conference-in-beijing Dow’s CMP technology experts will present at ICPT 2016, China’s premier CMP conference, October 17-19, 2016 in Beijing, China. Fri, 21 Oct 2016 13:57:02 GMT Dow to Present on the Challenges for Materials at the 14th International System-on-Chip Conference http://blog.dowelectronicmaterials.com/en/posts/2016/10/dow-presents-challenges-materials-international-system-on-chip-conference Dow presents challenges facing SoC manufacturing at the International System-on-Chip Conference. Fri, 21 Oct 2016 13:56:28 GMT The Present and Future of OLED Materials Development http://blog.dowelectronicmaterials.com/en/posts/2016/10/present-and-future-oled-materials-development In this blog, Dow examines the present and future of OLED materials development as presented at the Global Materials Tech Fair in Seoul. Thu, 13 Oct 2016 07:38:14 GMT Dow to Present at PRiME 2016 From TSV to Copper Pillars Transformative Technology http://blog.dowelectronicmaterials.com/en/posts/2016/09/dow-to-present-at-prime-2016-from-tsv-to-copper-pillars-transformative-technology Dow’s experts will discuss the transformative technology of TSVs and copper pillars in advanced packaging at PRiME 2016. Tue, 27 Sep 2016 08:28:07 GMT Dow Taiwan Holds Ceremony for Expansion Project http://blog.dowelectronicmaterials.com/en/posts/2016/06/taiwan-site-holds-groundbreaking-ceremony-for-expansion-project Dow Electronic Materials Taiwan hosts groundbreaking ceremony for plant expansion; will increase production capacity for CMP materials. Mon, 26 Sep 2016 09:22:44 GMT Extending Lithography Capability with Specialty Materials, Part 1 of 2 http://blog.dowelectronicmaterials.com/en/posts/2016/04/extending-lithography-capability-with-specialty-materials-part-1 Series offering an overview of the challenges presented by device scaling and how extensions to lithography allow for patterning of smaller features. Thu, 22 Sep 2016 11:19:22 GMT Addressing CMP Slurry Market Drivers with the OPTIPLANE™ Platform, Part 1 of 2 http://blog.dowelectronicmaterials.com/en/posts/2016/08/new-market-drivers-for-cmp-slurry-with-optiplane-part-1 In this two-part interview series, Dow's Adam Manzonie discusses how new CMP market drivers led to the development of the OPTIPLANE™ CMP slurry platform. Wed, 21 Sep 2016 16:22:10 GMT OLED Displays Change Future Display Paradigms http://blog.dowelectronicmaterials.com/en/posts/2016/09/oled-displays-change-future-display-paradigms Part 1 of this series examines what’s driving the market for OLED displays and the technology behind them. Wed, 14 Sep 2016 15:29:53 GMT Addressing New Market Drivers for CMP Slurries with the OPTIPLANE™ Platform, Part 2 http://blog.dowelectronicmaterials.com/en/posts/2016/09/new-market-drivers-for-cmp-slurry-with-optiplane-part-2 In part two of this interview series, we discuss the commercialization of the OPTIPLANE™ family of CMP slurries with Dow's Adam Manzonie. Tue, 13 Sep 2016 09:12:07 GMT Dows Peter Trefonas Interviewed at SPIE Advanced Lithography http://blog.dowelectronicmaterials.com/en/posts/2016/09/dows-peter-trefonas-interviewed-at-spie-advanced-lithography Dow's Peter Trefonas, Ph.D., was interviewed about his experiences in the field of photolithography at the 2016 SPIE Advanced Lithography Conference. Tue, 06 Sep 2016 09:24:15 GMT Dow's Michelle Ho, Ph.D., to Discuss CMP Slurry for TSV at SEMICON Taiwan http://blog.dowelectronicmaterials.com/en/posts/2016/09/michelle-ho-to-discuss-cmp-slurry-for-tsv-at-semicon-taiwan Dow's Michelle Ho, Ph.D. will present 'Expandable and Tunable CMP Slurry Platform for TSV Applications' at SEMICON Taiwan. Thu, 01 Sep 2016 08:58:10 GMT Managing Material Properties of Fan-out Wafer-Level Packages http://blog.dowelectronicmaterials.com/en/posts/2016/08/managing-material-properties-of-fan-out-wafer-level-packages Part 2 of the series on fan-out wafer-level packages examines three key structures and considerations for managing material properties. Tue, 30 Aug 2016 11:30:38 GMT Enabling Advanced PCB HVM with Nickel-Free Copper Plating http://blog.dowelectronicmaterials.com/en/posts/2016/06/enabling-advanced-pcb-hvm Dow Electronic Materials’ nickel-free electroless copper plating chemistry achieves plating of fine patterns for IC packages in advanced PCBs. Fri, 26 Aug 2016 09:24:51 GMT Becoming REACH Compliant: Is your Pure Gold Pure Enough? Part 2 of 2 http://blog.dowelectronicmaterials.com/en/posts/2016/08/becoming-reach-compliant-is-your-pure-gold-pure-enough-part-2-of-2 Part 2 of this series addresses alternatives to standard products, allowing manufacturers to be REACH compliant with pure gold. Thu, 25 Aug 2016 08:50:30 GMT Dow to Present at SiP Global Summit http://blog.dowelectronicmaterials.com/en/posts/2016/08/dow-present-at-semicon-taiwan-sip-global-summit Dow’s Rozalia Beica will address challenges of embedded technologies and fan-out wafer-level packaging at the SEMICON Taiwan SiP Global Summit. Tue, 23 Aug 2016 13:39:59 GMT Are you ahead of the curve for REACH compliance? Part 1 of 2 http://blog.dowelectronicmaterials.com/en/posts/2016/08/are-you-ahead-of-the-curve-for-reach-compliance-part-1-of-2 REACH compliance is impacting the chemical industry locally within the EU as well as globally, including raw material producers and suppliers to OEMs. Tue, 16 Aug 2016 08:46:34 GMT Materials Considerations for Next-Gen Semiconductor Architectures (Part 1 of 2) http://blog.dowelectronicmaterials.com/en/posts/2015/07/semiconductor-materials-for-new-technology-interview Interview with Dow on the effect of next-gen semiconductor technologies on materials development, and the opportunities and challenges faced by suppliers. Fri, 12 Aug 2016 13:58:38 GMT Material Considerations for the IOT and Heterogeneous Integration (Part 2 of 2) http://blog.dowelectronicmaterials.com/en/posts/2015/08/heterogenous-integration-material-considerations Dow discusses the impact of heterogeneous integration and IoT on the MEMS and sensors markets. Fri, 12 Aug 2016 13:54:28 GMT Chemical Trimming Overcoat Enhances Multiple Pattern Lithography http://blog.dowelectronicmaterials.com/en/posts/2016/07/chemical-trimming-overcoat-enhances-multiple-pattern-lithography CD trimming is a critical step in multiple patterning lithography. Chemical trimming overcoat can allow for the development of smaller critical dimensions. Fri, 12 Aug 2016 09:33:30 GMT Inkjet Printing for Eco-friendly PCB Etch Processes http://blog.dowelectronicmaterials.com/en/posts/2016/08/inkjet-printing-for-eco-friendly-pcb-etch-processes Inkjet printing processes are a much more environmentally-friendly process than traditional approaches to PCB Etch Processes. Thu, 11 Aug 2016 08:50:27 GMT Investigating Organic Photovoltaic Cells as the Future of Display Technology http://blog.dowelectronicmaterials.com/en/posts/2016/08/investigating-organic-photovoltaic-cells-for-renewable-energy-conversion Organic photovoltaic cells have significant potential as next-generation materials for high-tech applications including displays. Wed, 10 Aug 2016 16:24:45 GMT ECTC Presentation on Low-Temp Bonding http://blog.dowelectronicmaterials.com/en/posts/2016/08/takeaways-from-ectc-2016-presentation-on-advancements-in-low-temp-bonding Dow Electronic Materials presented on “Enabling Low-Temperature Bonding in Advanced Packaging using Electrodeposited Indium" at ECTC 2016. Thu, 04 Aug 2016 10:13:14 GMT Fan-Out Wafer-Level Packaging and Its Material Evolutions http://blog.dowelectronicmaterials.com/en/posts/2016/07/fan-out-wafer-level-packaging-and-its-material-evolutions In part one of this two-part series, we examine fan-out wafer level packaging (FOWLP) and how it enables miniaturization. Fri, 29 Jul 2016 10:41:46 GMT Via Fill’s Role in the Evolution of Portable Electronics http://blog.dowelectronicmaterials.com/en/posts/2016/07/via-fill-role-in-the-evolution-of-portable-electronics Cellphones’ evolution has been a driver for advances in semiconductor packaging and PCB design, and via fill plays an important role. Thu, 21 Jul 2016 08:16:42 GMT The State of EUV Lithography: A Materials Primer http://blog.dowelectronicmaterials.com/en/posts/2016/07/the-state-of-euv-lithography-materials-primer Extreme ultraviolet (EUV) lithography technology will enable continued shrink, keeping the semiconductor industry on the path of Moore’s law. Tue, 19 Jul 2016 08:28:46 GMT Dows Peter Trefonas PhD to Be Honored with SCI Perkin Medal http://blog.dowelectronicmaterials.com/en/posts/2016/06/dows-peter-trefonas-phd-to-be-honored-with-sci-perkin-medal Dow’s Peter Trefonas, Ph.D., to be honored with SCI Perkin Medal for his expertise in chemistries and materials used in photolithography processes. Tue, 28 Jun 2016 08:36:43 GMT Dow’s Litho Technologies Team Recognized by Fairchild Semiconductor http://blog.dowelectronicmaterials.com/en/posts/2016/06/dow-litho-recognized-by-fairchild-semiconductor Dow has been honored with Fairchild Semiconductor’s 2015 Chemical Supplier of the Year award for materials and service for microlithography. Mon, 20 Jun 2016 09:24:50 GMT Dow’s Phillip Hustad, Ph.D., Featured in American Chemical Society “What Chemists Do” Series http://blog.dowelectronicmaterials.com/en/posts/2016/06/dow-phillip-hustad-featured-american-chemical-society-what-chemists-do Watch Dow’s Phillip Hustad, Ph.D., in the American Chemical Society's video series “What chemists do.” Tue, 14 Jun 2016 13:54:01 GMT Dow Electronic Materials Launches OPTIPLANE CMP Slurry Platform for Advanced Semiconductor Manufacturing http://blog.dowelectronicmaterials.com/en/posts/2016/06/dow-launches-optiplane-cmp-slurry-platform-advanced-semiconductor-manufacturing Dow Electronic Materials announces the launch of the new OPTIPLANE™ slurry platform for chemical mechanical planarization (CMP) for advanced semiconductor devices. Wed, 08 Jun 2016 10:04:15 GMT Dow CMP to Present at Inaugural Strategic Materials Conference Korea http://blog.dowelectronicmaterials.com/en/posts/2016/05/dow-cmp-to-present-at-inaugural-strategic-materials-conference-korea Dow to present at the inaugural Korea Strategic Materials Conference about advanced CMP materials for scaling technology in the semiconductor industry. Thu, 05 May 2016 08:49:01 GMT Does Electrodeposited Indium have a Future in Advanced Packaging? http://blog.dowelectronicmaterials.com/en/posts/2016/05/electrodeposited-indium-advanced-packaging This article provides an overview of how to enable low-temperature bonding in advanced packaging using electrodeposited Indium Wed, 04 May 2016 09:51:06 GMT 2016 Dow Korea Award Winner Recognized for Work on OLED Efficiency http://blog.dowelectronicmaterials.com/en/posts/2016/05/2016-dow-korea-award-winner-recognized-for-work-on-oled-efficiency Seoul National University Ph.D. candidate Kwon-Hyeon Kim has won the Dow Korea Award 2016 for his work on OLED efficiency. Tue, 03 May 2016 09:08:24 GMT Extending Lithography Capability with Specialty Materials, Part 2 of 2 http://blog.dowelectronicmaterials.com/en/posts/2016/04/extending-lithography-capability-specialty-materials-part-2 Blog series offering an overview of lithographic challenges associated with removing photoresist from advanced finFET structures post-ion-implantation. Mon, 25 Apr 2016 09:04:07 GMT Fast, High-purity Cu Plating Enables Next-Gen Devices http://blog.dowelectronicmaterials.com/en/posts/2016/04/fast-high-purity-cu-plating-enables-next-gen-devices Copper (Cu) plating is critical in semiconductor packaging as the solutions form redistribution layers (RDLs) through vertical pillars. Wed, 20 Apr 2016 15:53:00 GMT Join Dow at the 2016 Symposium on Polymers for Microelectronics http://blog.dowelectronicmaterials.com/en/posts/2016/04/2016-symposium-polymers-microelectronics Dow will present its latest advances in dielectric materials for advanced packaging at this year’s IMAPS Symposium on Polymers for Microelectronics. Thu, 14 Apr 2016 08:02:46 GMT Wafer Bumping Considerations http://blog.dowelectronicmaterials.com/en/posts/2016/03/wafer-bumping-considerations-metal-layers-interface This post provides an introduction on materials considerations for the interface between metal layers in wafer bump structures. Tue, 15 Mar 2016 08:59:02 GMT Region Specific Enhancement of Quantum Dot Emission http://blog.dowelectronicmaterials.com/en/posts/2016/03/region-specific-enhancement-of-quantum-dot-emission This paper demonstrates an approach incorporating quantum dots into a photonic crystal structure with 2 designs, each optimized for a different quantum dot emission wavelength. Tue, 08 Mar 2016 08:55:06 GMT Join Dow at IMAPS Device Packaging Conference 2016 http://blog.dowelectronicmaterials.com/en/posts/2016/03/join-dow-at-the-2016-imaps-device-packaging-conference Dow will be at IMAPS DPC presenting two papers in the Interposer and 3D-IC and Packaging track & contributing to a third presented by Fraunhofer IZM. Thu, 03 Mar 2016 08:55:35 GMT Dow’s Litho and CMP Teams to Present at CSTIC 2016 http://blog.dowelectronicmaterials.com/en/posts/2016/03/dow-litho-and-cmp-teams-to-present-at-cstic-2016 Dow will participate in CSTIC 2016 with presentations about some of its recent work in lithography and chemical mechanical planarization (CMP) technology. Wed, 02 Mar 2016 15:33:37 GMT Meeting the Horizontal Electroless Copper Plating Challenge http://blog.dowelectronicmaterials.com/en/posts/2015/11/meeting-the-horizontal-electroless-copper-plating-challenge-with-an-ionic-palladium-catalyst-process Electronic circuit packaging density continues to increase and must be defect-free after electroless plating. Learn how Dow's electroless copper plating process meets these challenges. Thu, 25 Feb 2016 14:11:56 GMT Lead-free Materials are Critical to Sustainable Manufacturing http://blog.dowelectronicmaterials.com/en/posts/2016/02/lead-free-materials-are-critical-to-sustainable-manufacturing Introduction to “Lead-free solder electroplating products for advanced bumping technologies,” first presented at IMAPS Device Packaging Conference. Mon, 15 Feb 2016 08:58:09 GMT Double-heterojunction nanorods, a step towards electroluminescent quantum dot displays http://blog.dowelectronicmaterials.com/en/posts/2016/02/double-heterojunction-nanorods Active heterojunctions can impart new & improved capabilities to enable use of solution-processable colloidal semiconductor nanocrystals like quantum dots. Tue, 09 Feb 2016 09:01:13 GMT Learn from Dow’s Experts at SPIE Lithography http://blog.dowelectronicmaterials.com/en/posts/2016/01/dows-experts-at-spie-lithography Preview the sessions Dow’s team will present at this year’s SPIE Advanced Lithography Conference in San Jose, Calif. Tue, 26 Jan 2016 08:00:22 GMT 2016 Outlook: Trends Driving Packaging Materials Development http://blog.dowelectronicmaterials.com/en/posts/2016/01/2016-outlook-trends-driving-packaging-materials-development Dow’s Rob Kavanagh takes a look at the year ahead and trends for development of advanced packaging materials expected in 2016. Mon, 25 Jan 2016 14:46:28 GMT How Do Tin-Silver Caps Influence Reliability of Copper Pillar Applications? http://blog.dowelectronicmaterials.com/en/posts/2015/07/tin-silver-caps-for-copper-pillar-applications In this interview, Dr. Jianwei Dong explains why tin-silver caps have become the solder capping material of choice. Fri, 22 Jan 2016 15:46:25 GMT Advanced Packaging Materials Adding More Value to ICs http://blog.dowelectronicmaterials.com/en/posts/2016/01/advanced-packaging-materials-adding-more-value-to-ics Dow Electronic Materials’ Rob Kavanagh takes a look at the state of materials for advanced packaging in his 2016 Outlook now featured on Solid State Technology. Fri, 22 Jan 2016 12:04:38 GMT Dow Recognized by TSMC with Supplier Excellence Award for CMP Materials http://blog.dowelectronicmaterials.com/en/posts/2015/12/dow-recognized-by-tsmc-with-supplier-excellence-award-for-cmp-materials Dow Electronic Materials received a 2015 TSMC Supplier Excellence Award for performance in the development and delivery of materials for CMP. Thu, 17 Dec 2015 13:31:17 GMT Nanorods and Other Dimensionally Confined Nanomaterials for Optoelectronics http://blog.dowelectronicmaterials.com/en/posts/2015/12/dimensionally-confined-nanomaterials-optoelectronics Learn about Dow’s research to differentiate Dimensionally Confined Nanomaterials (DCNs) to improve performance of optoelectronics. Tue, 15 Dec 2015 08:50:03 GMT Dow’s SOLDERON™ Tin-Silver Plating Chemistry Takes Home an Oscar of Innovation http://blog.dowelectronicmaterials.com/en/posts/2015/11/2015-r-and-d-100-awards-solderon-tin-silver-plating-chemistry Dow’s SOLDERON™ 6000 Tin-Silver Plating Chemistry was recently awarded an R&D 100 Award, presented by R&D Magazine. Mon, 23 Nov 2015 10:55:42 GMT Litho University℠: DBARC Technology 101 http://blog.dowelectronicmaterials.com/en/posts/2015/11/litho-university-dbarc-technology-101 Overview of Litho University's new DBARC video about the technology's application for advanced lithography patterning processes in semiconductor manufacturing. Wed, 18 Nov 2015 08:41:11 GMT Litho University Directed Self Assembly 101 http://blog.dowelectronicmaterials.com/en/posts/2015/07/directed-self-assembly-dsa Explanation of the DSA process through videos on advanced patterning brushes and mats to enable DSA chemoepitaxy, and graphoepitaxy with cylinders. Tue, 10 Nov 2015 09:02:31 GMT How Laminate Dielectrics Enable Glass Substrates for Advanced Packaging Applications http://blog.dowelectronicmaterials.com/en/posts/2015/11/how-laminate-dielectrics-enable-glass-substrates-for-advanced-packaging-applications Glass substrates pose challenges for dielectric materials. Dow has developed laminate dielectrics to address these challenges. Fri, 30 Oct 2015 14:46:39 GMT Silver Plating Technology Driving 200°C Automotive Apps http://blog.dowelectronicmaterials.com/en/posts/2015/11/silver-plating-technology-driving-200-c-automotive-apps High temp performance is a requirement for automotive electronics. Learn how Dow's high-performance silver plating solution addresses key challenges. Fri, 30 Oct 2015 12:48:48 GMT Behind the Scenes of Dow’s Finalists for the 2015 R&D 100 Awards: Part 2 | SOLDERON™ BP TS 6000 Tin-Silver http://blog.dowelectronicmaterials.com/en/posts/2015/10/2015-r-and-d-100-awards-part-2-solderon-tin-silver In this interview, Dow's Wataru Tachikawa talks about the changing requirements in AWLP and how SOLDERON™ BP TS 6000 Tin-Silver meets them. Fri, 16 Oct 2015 14:00:02 GMT Sustainable and Cost-Effective Tin Electroplating http://blog.dowelectronicmaterials.com/en/posts/2015/10/sustainable-and-cost-effective-tin-electroplating The cost of tin is driving the use of RONASTAN™ MSA for sustainable and cost-effective tin electroplating. Wed, 14 Oct 2015 08:23:19 GMT Thin Wafer Handling Temporary Adhesives http://blog.dowelectronicmaterials.com/en/posts/2015/10/thin-wafer-handling-temporary-adhesives Learn about Dow Electronic Materials’ advancements in debondable temporary adhesive development for improved thin wafer handling. Thu, 01 Oct 2015 11:56:43 GMT Behind the Scenes of Dow’s Finalists for the 2015 R&D 100 Awards: Part 1 | MICROFILL™ THF Electrolytic Copper http://blog.dowelectronicmaterials.com/en/posts/2015/09/dow-finalists-for-2015-rd100-awards-part-1-thf-electrolytic-copper In this interview, Dow's Maria Rzeznik looks at changing requirements in high-density PCBs and how MICROFILL™ THF-100 Electrolytic Copper addresses them. Mon, 28 Sep 2015 10:10:58 GMT Join Dow CMP Team at ICPT 2015 http://blog.dowelectronicmaterials.com/en/posts/2015/09/join-dow-cmp-team-at-icpt-2015 Preview Dow's CMP presentations for this year's ICPT conference taking place in Chandler, Ariz., Sept. 30 - Oct. 2, 2015. Fri, 25 Sep 2015 16:25:26 GMT Enhanced Methods for Specialty Chemicals Purification and OLED Device Fundamentals http://blog.dowelectronicmaterials.com/en/posts/2015/09/enhanced-methods-for-specialty-chemicals-purification-and-oled-device-fundamentals Dow Electronic researchers share details of Dow’s partnership with the University of Minnesota to develop enhanced methods for specialty chemicals purification and active matrix OLED devices. Thu, 10 Sep 2015 14:53:58 GMT Dow Photodielectric Materials http://blog.dowelectronicmaterials.com/en/posts/2015/08/photodielectric-materials-for-advanced-wafer-level-packaging Learn how Dow Electronic Materials’ latest photodielectric materials meet the warpage and residual stress targets for thinned 300mm wafers. Tue, 18 Aug 2015 08:56:26 GMT Metallization Products Next Gen Devices http://blog.dowelectronicmaterials.com/en/posts/2015/08/metallization-products-next-gen-devices Experts at Dow explain how new metallization products meet requirements for next-generation devices. Mon, 17 Aug 2015 09:25:17 GMT Chromium-Free Etch Technology for Plating On Plastic http://blog.dowelectronicmaterials.com/en/posts/2015/07/plating-on-plastic Dow’s Technology for Sustainable Plating on Plastic Manufacturing Enables REACH Compliance Ahead of the Sunset Date. Fri, 14 Aug 2015 15:10:10 GMT Dow’s Tin-Silver Solder Honored by Prestigious Edison Award http://blog.dowelectronicmaterials.com/en/posts/2015/07/solderon-bp-ts-6000-tin-silver In April 2015, SOLDERON BP TS 6000 Tin-Silver was honored with the prestigious Bronze 2015 Edison Award in the Material Science: Manufacturing category. Fri, 14 Aug 2015 15:07:55 GMT Efficient OLED Display with Novel Light Emission Mechanism http://blog.dowelectronicmaterials.com/en/posts/2015/08/novel-oled-display-technology OLED display technology is rapidly growing and so are its applications. Dow is researching a novel emitter system for high-performance, large flat panel displays. Fri, 14 Aug 2015 15:03:13 GMT Solving Data Center Reliability Challenges through Packaging http://blog.dowelectronicmaterials.com/en/posts/2015/07/solving-data-center-reliability-challenges-through-packaging INTERVIA, SOLDERON, SnAg, SnAg caps, tin silver, tin silver caps, Cu Pillar, copper pillar, micro-bump, TSV, 3D IC, plating, Cu plating, copper plating, through silicon via Thu, 13 Aug 2015 13:46:52 GMT Effect of π-Conjugated Bridges on Organic Photovoltaic Cells http://blog.dowelectronicmaterials.com/en/posts/2015/08/conjugated-bridges-organic-photvoltaic-cells Effect of π-conjugated bridges of TPD-based medium bandgap conjugated copolymers for efficient tandem organic photovoltaic cells Tue, 04 Aug 2015 08:41:53 GMT 3D TSV Plating and Bumping Rising to the Challenge http://blog.dowelectronicmaterials.com/en/posts/2015/07/challenges-3d-tsv-plating-and-bumping 3D integration using through silicon vias (TSVs) promise a fundamental shift for current multi-chip integration and packaging approaches, but it brings more difficulties in Cu electroplating. Thu, 23 Jul 2015 07:56:53 GMT Addressing Challenges to Enhance OLED Device Performance http://blog.dowelectronicmaterials.com/en/posts/2015/07/oled-performance-enhancement OLED performance can be enhanced significantly by manipulating molecular orientation, which achieves better results when using materials made by Dow. Mon, 20 Jul 2015 15:44:39 GMT Increasing LED Efficiency http://blog.dowelectronicmaterials.com/en/posts/2015/07/increasing-led-efficiency Due to LEDs’ benefits in energy savings, LEDs are seeing growth in markets such as lighting, LCD back light, smartphones and PCs. Bright silver is used as an electrolytic finish on LED leadframes to achieve the desired wire bonding, brightness and reflectivity Fri, 17 Jul 2015 08:53:44 GMT