Dow Electronic Materials
Litho University℠ – White Papers

Development of spin-on metal hardmask (SOMHM) for advanced node

Shintaro Yamada, Deyan Wang, Vivian Chuang, Cong Liu, Sabrina Wong, Charlotte Cutler, William Williums, Paul Baranowski, Mingqi Li, Joe Mattia, JoAnne Leonard, Peter Trefonas, Kathleen O’Connell, Cheng bai Xu, Michael B. Clark,Proc. SPIE 9425, Advances in Patterning Materials and Processes XXXII, 94251X (March 20, 2015); doi:10.1117/12.2086005

Copyright 2015 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Graphoepitaxial and chemoepitaxial methods for creating line-space patterns at 33nm pitch: comparison to a HVM process

Dan B. Millward; Gurpreet S. Lugani; Scott L. Light; Ardavan Niroomand; Phillip D. Hustad; Peter Trefonas; Dung Quach; Valeriy V. Ginzburg, Proc. SPIE. 9423, Alternative Lithographic Technologies VII, 942304. (March 19, 2015) doi: 10.1117/12.2086693

Copyright 2015 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Impact of materials selection on graphoepitaxial directed self-assembly for line-space patterning

Dung Quach; Valeriy V. Ginzburg; Mingqi Li; Janet Wu; Shih-wei Chang; Peter Trefonas; Phillip D. Hustad; Dan B. Millward; Gurpreet S. Lugani; Scott L. Light, Proc. SPIE. 9423, Alternative Lithographic Technologies VII, 94230N. (March 27, 2015) doi: 10.1117/12.2085807

Copyright 2015 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

A comparison of the pattern transfer of line-space patterns from graphoepitaxial and chemoepitaxial block co-polymer directed self-assembly

Dan B. Millward; Gurpreet S. Lugani; Ranjan Khurana; Scott L. Light; Ardavan Niroomand; Philip D. Hustad; Peter Trefonas; Shih-wei Chang; Christopher N. Lee; Dung Quach, Advanced Etch Technology for Nanopatterning III, Proc. SPIE, 90540M (2014) doi: 10.1117/12.2045580

Copyright 2014 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

New materials for directed self-assembly for advanced patterning

Author(s): Jieqian Zhang; Janet Wu; Mingqi Li; Valeriy V. Ginzburg; Jeffrey D. Weinhold; Michael B. Clark; Peter Trefonas; Phillip D. Hustad, Advances in Patterning Materials and Processes XXXI, Proc. SPIE 9051, 905111 (2014); doi: 10.1117/12.2046328

Copyright 2014 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Bottom-up/top-down high resolution, high throughput lithography using vertically assembled block bottle brush polymers

Peter Trefonas; James W. Thackeray; Guorong Sun; Sangho Cho; Corrie Clark; Stanislav V. Verkhoturov; Michael J. Eller; Ang Li; Adriana Pavía-Jiménez; Emile A. Schweikert; Karen L. Wooley, Advances in Resist Materials and Processing Technology XXX, Proc. SPIE 8682 86820H. (2013)

Copyright 2013 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Combining physical resist modeling and self-consistent field theory for pattern simulation in directed self-assembly

Michael Reilly, Valeriy V. Ginzburg, and Mark D. Smith, "Combining Physical Resist Modeling and Self-Consistent Field Theory for Pattern Simulation in Directed Self-Assembly," Advances in Resist Materials and Processing Technology XXX, Mark H. Somervell, Editors, Proc.SPIE 86820G (2013)

Copyright 2013 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

High Scan Speed EBL Containing Contact Hole Resists with Low Defectivity

Tsung Ju Yeh and et al, "High Scan Speed EBL Containing Contact Hole Resists with Low Defectivity",Advances in Resist Materials and Processing Technology XXX, Proc. SPIE 8682 86821X-1

Copyright 2013 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

New materials and processes for directed self-assembly

Shih-Wei Chang, Jessica P. Evans, Shouren Ge, Valeriy V. Ginzburg, John W. Kramer, Brian G. Landes, Christopher Lee, Greg F. Meyers, Daniel J. Murray, Jong Park, Rahul Sharma, Peter Trefonas III, Jeffrey D. Weinhold, Jieqian Zhang, Phillip D. Hustad, "New materials and processes for directed self-assembly," Alternative Lithographic Technologies V, Proc. SPIE 8680, 86800F (2013)

Copyright 2013 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.



Optimization of a virtual EUV photoresist for the trade-off between throughput and CDU

M. Smith, C. Feng and J. J. Biafore, "Optimization of a virtual EUV photoresist for the trade-off between throughput and CDU," Advances in Resist Materials and Processing Technology XXX, Proc. SPIE 8682, 868203 (2013)

Copyright 2013 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Progress in resolution, sensitivity, and critical dimensional uniformity of EUV chemically amplified resists

James Thackeray, James Cameron, Vipul Jain, Paul LaBeaume, Suzanne Coley, Owendi Ongayi, Mike Wagner, Aaron Rachford, John Biafore "Progress in resolution, sensitivity, and critical dimensional uniformity of EUV chemically amplified resists," Advances in Resist Materials and Processing Technology XXX, Proc. SPIE Vol. 8682, 868213 (2013)

Copyright 2013 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Blob defect prevention in 193nm topcoat-free immersion lithography

Deyan Wang and et al, "Blob Defect Prevention in 193nm Topcoat-free Immersion Lithography", Proc. of SPIE Vol. 8325 83252G-1 (2012)

Copyright 2012 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Designing new materials and processes for directed self-assembly applications

Shih-Wei Chang, Erin E. Vogel, Valeriy V. Ginzburg, Daniel J. Murray, John W. Kramer, Jeffrey D. Weinhold, Vivian P. W. Chuang, Rahul Sharma, Jessica P. Evans, Brian Landes, Shouren Ge, Peter Trefonas III, Phillip D. Hustad "Designing new materials and processes for directed self-assembly applications" Alternative Lithographic Technologies IV, Proc. SPIE 8323, 83231M (2012)

Copyright 2012 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.


Developable BARC (DBARC) technology as a solution to today's implant lithography challenges

Cameron, James; Sung, Jin-Wuk; Wong, Sabrina; Ware, Adam; Yamamoto, Yoshihiro; Kitaguchi, Hiroaki; Vyklicky, Libor; Holmes, Steve; Popova, Irene; Kwong, Ranee; Varanasi, Pushkara Rao; Developable BARC (DBARC) technology as a solution to today's implant lithography challenges; Proceedings of SPIE (2011), 7972 (Pt. 1, Advances in Resist Materials and Processing Technology XXVIII), 797214/1-797214/10.

Copyright 2011 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited. Designing new materials and processes for directed self-assembly applications

Impact of polymerization process on OOB on lithographic performance of a EUV resist

Vipul Jain, Suzanne M. Coley, Jung June Lee; Matthew D. Christianson, Daniel J. Arriola, Paul LaBeaume, Maria E. Danis, Nicolas Ortiz, Su-Jin Kang, Michael D. Wagner, Amy Kwok, David A. Valeri, James W. Thackeray, “Impact of polymerization process on OOB on lithographic performance of a EUV resist,” Extreme Ultraviolet (EUV) Lithography II, Proc. SPIE 7969, 796912 (2011)

Copyright 2011 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Materials challenges for sub-20nm lithography

James W. Thackeray "Materials challenges for sub-20nm lithography" Advances in Resist Materials and Processing Technology XXVIII, Proc. SPIE 7972, 797204 (2011)

Copyright 2011 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Method of ellipsometric characterization of the resist and DBARC interface

Reilly, Michael; Cameron, James F.; Wong, Sabrina; Ware, Adam; Method of ellipsometric characterization of the resist and DBARC interface; Proceedings of SPIE (2011), 7972 (Pt. 2, Advances in Resist Materials and Processing Technology XXVIII), 79722O/1-79722O/7.

Copyright 2011 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Physical modeling of developable BARC at KrF

Reilly, Michael; Biafore, John; Cameron, James F.; Robertson, Stewart A.; Physical modeling of developable BARC at KrF; Proceedings of SPIE (2011), 7972 (Pt. 1, Advances in Resist Materials and Processing Technology XXVIII), 79720Z/1-79720Z/10.

Copyright 2011 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Complex self-assembled patterns using sparse commensurate templates with locally varying motifs

Joel K. W. Yang, Yeon Sik Jung, Jae-Byum Chang, Caroline A. Ross, and Karl K. Berggren, "Complex self-assembled patterns using sparse commensurate templates with locally varying motifs," Nature Nanotechnology, 5, 256-260 (2010)


Design and development of developable BARCs (DBARCs) for advanced lithographic applications

Cameron, James; Amara, John; Sung, Jin Wuk; Valeri, David; Ware, Adam; O'Shea, Kevin; Yamamoto, Yoshihiro; Kitaguchi, Hiroaki; Vyklicky, Libor; Popova, Irene; Varanasi, Pushkara Rao; Design and development of developable BARCs (DBARCs) for advanced lithographic applications; Journal of Photopolymer Science and Technology (2010), 23(5), 721-729.

Design and development of production-worthy developable BARCs (DBARCs) for implant lithography

Cameron, James; Amara, John; Sung, Jin Wuk; Valeri, David; Ware, Adam; O'Shea, Kevin; Yamamoto, Yoshihiro; Kitaguchi, Hiroaki; Vyklicky, Libor; Popova, Irene; Varanasi, Pushkara Rao; Design and development of production-worthy developable BARCs (DBARCs) for implant lithography; Proceedings of SPIE (2010), 7639 (Pt. 1, Advances in Resist Materials and Processing Technology XXVII), 76390H/1-76390H/12.

Copyright 2010 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

A new breed of wet-developable BARC materials

Vyklicky, Libor; Huang, Wu-Song; Popova, Irene; Varanasi, Pushkara Rao; Cameron, James; Amara, John; Sung, Jin Wuk; Valeri, David; Prokopowicz, Greg; Ware, Adam; O’Connell, Kathleen; Desisto, Jason; Vohra, Vaishali; O’Shea, Kevin; Barclay, George; Yamamoto, Yoshihiro; Kurihara, Tomoki; Hidano, Manabu; A new breed of wet-developable BARC materials; Journal of Photopolymer Science and Technology (2009), 22(1), 17-24.

Progress towards production worthy developable BARCs (DBARCs)

Cameron, James; Amara, John; Sung, Jin Wuk; Valeri, David; Ware, Adam; O'Shea, Kevin; Yamamoto, Yoshihiro; Kitaguchi, Hiroaki; Vyklicky, Libor; Popova, Irene; Varanasi, Pushkara Rao; Progress towards production worthy developable BARCs (DBARCs); Proceedings of SPIE (2009), 7273 (Pt. 1, Advances in Resist Materials and Processing Technology XXVI), 72733L/1-72733L/13.

Copyright 2009 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Statistical simulation of photoresists at EUV and ArF

John J. Biafore, Mark D. Smith, Chris A. Mack; James W. Thackeray, Roel Gronheid, Stewart A. Robertson, Trey Graves, David Blankenship "Statistical simulation of photoresists at EUV and ArF" Advances in Resist Materials and Processing Technology XXVI, Proc. SPIE 7273, 727343 (2009)

Copyright 2009 Society of Photo Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

BARC technology for 1.35 NA lithography

Michael Reilly, Gary Guohong Zhang, Ken Spizuoco, "BARC technology for 1.35 NA lithography," Advances in Resist Materials and Processing Technology XXX, Lithography Asia 2008, Proc. SPIE 7140, 71402U (2008)

Copyright 2008 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Reflection control for line features of multiple pitches at hyper NA

Michael Reilly, Michael Wagner, Nick Pugliano, Warren Montgomery, Stewart Robertson, "Reflection control for line features of multiple pitches at hyper NA," Advances in Resist Materials and Processing Technology XXV Proc. SPIE 6923, 69233X (2008)

Copyright 2008 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Design consideration for immersion 193: embedded barrier layer and pattern collapse margin

Deyan Wang, Stefan Caporale, Cecily Andes, Kap-Soo Cheon, Cheng Bai Xu, Peter Trefonas, George Barclay, "Design consideration for immersion 193: embedded barrier layer and pattern collapse margin," Journal of Photopolymer Science and Technology 20 (2007)


Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene-Polydimethylsiloxane Block Copolymer

Yeon Sik Jung and C. A. Ross, "Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene-Polydimethylsiloxane Block Copolymer," Nano Letters, 7, 2046-2050 (2007)

Guiding Polymers to Perfection: Macroscopic Alignment of Nanoscale Domains

Sundrani, D.; Darling, S. B.; Sibener, S. J., "Guiding Polymers to Perfection: Macroscopic Alignment of Nanoscale Domains," Nano Letters 2004, (2), 273-276

Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement

Sundrani, D.; Darling, S. B.; Sibener, S. J., "Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement," Langmuir 2004, 20 (12), 5091-5099

Graphoepitaxy of Spherical Domain Block Copolymer Films

Segalman, R. A.; Yokoyama, H.; Kramer, E. J., "Graphoepitaxy of Spherical Domain Block Copolymer Films," Advanced Materials, 13(15), 1152-1155 (2001)

Organic antireflective coatings for 193-nm lithography

Peter Trefonas III ; Robert F. Blacksmith ; Charles R. Szmanda ; Robert J. Kavanagh ; Timothy G. Adams ; Gary N. Taylor ; Suzanne Coley ; Gerd Pohlers, "Organic antireflective coatings for 193 nm lithography," Advances in Resist Technology and Processing XVI, Proc. SPIE 3678, 702 (1999)

Copyright 1999 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Tunable AR for DUV lithography

Edward K. Pavelchek ; Manuel doCanto, "Tunable AR for DUV lithography," Advances in Resist Technology and Processing XIV, Proc. SPIE 3049, 932 (1997)

Copyright 1997 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic electronic or print reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.