Dow Electronic Materials
Materials for Chemical Mechanical Planarization (CMP)

With over 30 years of experience, Dow Electronic Materials is a global leader in materials for chemical mechanical planarization (CMP). Chemical mechanical planarization (or polishing) is a critical step that is used multiple times in the semiconductor manufacturing process at each layer of the wafer to remove excess materials and create a smooth surface.

Dow offers a full range of polishing pads and slurries designed to meet the distinct performance needs of each CMP application and node. Every product incorporates specific design goals and fundamental science to achieve the required performance. Our advanced R&D capabilities, including statistical process control, automation, and product characterization and analysis, have led to significant advancements in materials innovation.

With application facilities close to our customers, we’ve been able to develop strong collaborative partnerships to accelerate product and process development, including CMP processes below 14 nm and planarization materials for 3D-IC technologies. In addition, our strategic alliances and partnerships bring new CMP technologies to our customers at an accelerated pace and are better suited to each customer’s specific process requirements.

CMP Pads

Our portfolio of polishing pads for chemical mechanical planarization includes technologies for both conventional and next-generation manufacturing. Our newest technology, the IKONIC™ pad family, boasts our most advanced technology targeting multiple CMP applications and offering the right balance of performance and cost of ownership. Our VISIONPAD™ portfolio has also been designed for advanced processes, offering combinations of higher removal rate, improved planarization and reduced defectivity. We also are proud to offer our IC1000™ polishing pads, which have served as the industry standard for CMP polishing for years, offering a balance of removal rate, planarization and defectivity performance. Further enhancing our portfolio, we have a wide selection of pad features which go across our platforms to further meet the individual needs of customers.

Learn more about our CMP Pad Portfolio

CMP Slurries

Dow also specializes in the formulation and manufacture of polishing slurries for advanced CMP applications which require low defectivity, high removal rates and specific selectivities for multiple film CMP polishes. Dow’s newly developed OPTIPLANE™ CMP slurries are a family of next-generation slurries for oxide, polysilicon and front-end-of-line (FEOL) applications. ACUPLANE™ copper barrier slurries continue to be an industry-leading product for their tunable selectivity and robust performance. Dow also offers KLEBOSOL™ colloidal silica slurries with low defectivity and high removal rates for interlayer dielectric applications.