Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Fine-tuning CMP Slurries for 3D TSV Processes

June 27, 2017

Chemical mechanical planarization (CMP) is a critical element of the 3D through silicon via (TSV) process flow for controlling wafer topography. Here, Dow’s Michelle Ho explains the role of CMP in TSV processes and discusses CMP slurry design for 3D TSVs.

Read More

Cleaning Up PCB Final Finish: Cyanide-Free ENIG Coatings

May 04, 2017

Although there are many potential roadblocks to cleaning up PCB final finishes, reliable cyanide-free ENIG surfaces now deliver low gold porosity and excellent corrosion resistance.

Read More

New Nanorod LED Technology Responds to Light Input

February 15, 2017

Novel LED technology could create new possibilities for interacting with displays. Researchers from Dow Electronic Materials and the University of Illinois at Urbana-Champaign report on nanorod LED arrays that could be used to bring displays to life.

Read More

Performance Gains in CMP Slurry for Advanced Semiconductor Nodes

December 07, 2016

In a recent Solid State Technology article, Dow authors report on some of the complexities in chemical mechanical planarization (CMP) processes at advanced semiconductor nodes. Dow’s new OPTIPLANE™ slurry platform demonstrates excellent performance in meeting new process needs.

Read More

Dow Presentations Provide Gold, Silver, and Copper Solutions for IoT Devices at IMPACT-IIAC 2016

November 09, 2016

Dow was recently one of a few invited speakers outside academia to present at IMPACT-IIAC 2016. Aptly themed, “IMPACT on the Next Big Things,” the conference included panel sessions, industrial sessions and paper presentations on accelerating manufacturing and commercialization. Dow addressed four separate topics, all relating to gold, silver and copper solutions for IoT devices.

Read More

Inkjet Printing for Eco-friendly PCB Etch Processes

August 11, 2016

PCBs are becoming more complex and with smaller tolerances and manufacturers keep pace by improving process capability. Drivers, especially price pressures, have driven improvements in efficiency and yield for etch processes. These same trends are driving interest in inkjet printing, which also offers a more environmentally friendly approach over traditional methods.

Read More

Takeaways from Dow’s ECTC 2016 Presentation on Advancements in Low-Temp Bonding

August 04, 2016

It was clear at ECTC 2016 that advanced packaging is the fastest-growing segment in semiconductor packaging. Dow Electronic Materials contributed to the conference by presenting our work on advancements in low-temperature bonding using electrodeposited indium. This post shares the content we presented at this year’s show.

Read More

Investigating Organic Photovoltaic Cells for Renewable Energy Conversion

August 02, 2016

Organic materials have significant potential for the next-generation of high-tech applications like solar cells, LEDs and displays. This paper explores our work with the University of Minnesota to improve understanding of how pure active materials must be to meet industry specifications and optimize device performance.

Read More

Chemical Trimming Overcoat Enhances Multiple Pattern Lithography

July 29, 2016

Extreme ultraviolet (EUV) technology is solidly positioned on the semiconductor manufacturing roadmap, but interim technologies are needed to extend 193nm immersion lithography until EUV enters production. Multiple patterning is one such technology, and this article discusses a novel spin-on chemical trim overcoat formulation that simplifies the advanced patterning process.

Read More

Fast, High-purity Cu Plating Enables Next-Gen Devices

April 20, 2016

Copper (Cu) plating of mega pillar

Fan-out wafer-level packages (FOWLP) are poised for adoption in consumer mobile devices while cloud servers are driving the need for 3DIC packages. Copper (Cu) plating forms critical connections from horizontal redistribution layers (RDLs) through vertical pillars. Learn more about Dow’s approach to optimal Cu plating, as presented at the 2016 IMAPS Device Packaging Conference.

Read More