Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Showing all articles related to SnAg capping

Copper Pillar Electroplating Tutorial

December 08, 2016


This tutorial examines the requirements and processing considerations for electroplated copper pillars used in advanced chip packaging applications. The key aspects of the plating process and the role of each in achieving the desired design and performance goals are described.

Read More

Wafer Bumping Considerations: The importance of the interface between metal layers

March 15, 2016

Capped pillar – intermetallic compound compatibility, metal layer interface

Many new assembly processes are in development, including ultra thinning of wafers to enable stacked die, package-on-package (PoP) and ultra-thin packages. Wafer-level packaging (WLP) to improve reliability and I/O count, ball pitch and routability are also imperative. This post provides an introduction on materials considerations for the interface between metal layers in wafer bump structures.

Read More

Lead-free Materials Are Critical to Sustainable Manufacturing

February 15, 2016

Dow Electronic Materials has been actively developing a line of lead-free advanced packaging materials that help manufacturers comply with RoHS and REACH, while ensuring a level of reliability equivalent to lead-based materials. This article introduces "Lead-free solder electroplating products for advanced bumping technologies," first presented at IMAPS Device Packaging Conference.

Read More

Dow’s SOLDERON™ Tin-Silver Plating Chemistry Takes Home an Oscar of Innovation

November 23, 2015

R&D 100 Winner banner for SOLDERON Tin-Silver Plating Chemistry

It’s been a banner year for SOLDERON™ BP TS 6000 Tin-Silver Plating Chemistry, which was recently awarded an R&D; 100 Award, presented by R&D; Magazine in a ceremony at Caesar’s Palace in Las Vegas. This “Oscar of Innovation” takes its place in Dow Electronic Materials’ trophy case, next to the 2015 Edison Award.

Read More

Behind the Scenes of Dow’s Finalists for the 2015 R&D; 100 Awards: Part 2 | SOLDERON™ BP TS 6000 Tin-Silver

October 20, 2015

Tin-Silver-Capped Copper Micro Pillars

This series interviews Dow experts who were pivotal to the development and commercialization of innovative chemistries that are finalists for the 2015 R&D; 100 Awards. In Part 2, Wataru Tachikawa talks about the changing requirements in advanced wafer-level packaging (AWLP) and how SOLDERON™ BP TS 6000 Tin-Silver meets them.

Read More

Three Dow Electronic Materials Technologies Named Finalists for 2015 R&D; 100 Awards

August 19, 2015

Dow was recently highlighted as a leading innovator with 21 products selected as finalists for the 2015 R&D 100 Awards. Three of these are technologies developed by Dow Electronic Materials as market-focused solutions and commercialized in the last year.

Read More

Next-Generation Copper, Nickel and Lead-Free Metallization Products for Next-Generation Devices and Applications

August 15, 2015

Meeting the challenging requirements of next-generation devices destined for Internet of Things applications necessitates metallization products that can address fine feature sizes and geometries of today’s advanced chip and package designs. This presentation details how Dow Electronic Materials has optimized its family of advanced electronics packaging metallization products.

Read More

How Do Tin-Silver Caps Influence Reliability of Copper Pillar Applications?

July 23, 2015

As packaging technologies must address the higher performance and increased functionality of today’s electronic devices, traditional C4 bumps are reaching their limits. The industry is turning to Cu pillars as a solution for fine pitch bumping, with tin-silver caps becoming the solder capping material of choice. In this interview, Dr. Jianwei Dong explains why.

Read More

3D TSV Plating and Bumping: Rising to the Challenge

July 22, 2015

TSVCopperCropped

3D integration using through silicon vias (TSVs) promises a fundamental shift for current multi-chip integration and packaging approaches, but it brings more difficulties in Cu electroplating. This piece explores process and material optimization efforts to enable volume manufacturing of 3D ICs.

Read More

Solving Data Center Reliability Challenges through Packaging

July 07, 2015

The semiconductor industry is approaching a point where 2.5D and 3D integration technologies will be required to achieve the performance, bandwidth and storage required of next-generation data centers and mobile devices. In this piece, Wataru Tachikawa explores how the entire ecosystem is rolling up its sleeves and working to overcome the remaining challenges.

Read More