Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Advancing the Thick PCB Manufacturing Process for 5G Infrastructure

March 20, 2017

The demand for thick PCBs is increasing, presenting a challenge and an opportunity for copper plating. Here, we look at how Dow is advancing the thick PCB manufacturing process to support the growth of 5G infrastructure.

Read More

Dow to Present on Acidic CMP Slurry and Advanced Patterning at CSTIC

March 10, 2017


Dow will be at the China Semiconductor Technology International Conference with presentations on work from our CMP and lithography teams. One seminar will share findings on acidic ILD slurries, and the second will discuss lithographic materials when moving beyond 10nm.

Read More

Moving into China: Advances in Next-Generation Displays

March 07, 2017

China’s display market is growing rapidly, and if SID hosting its first-ever conference there is any indication, it is well on its way. Kathleen O’Connell offers an overview of her plenary session, explaining how multiple display technologies have room to succeed.

Read More

IMAPS Device Packaging Conference to Feature Next-Gen Materials and Trends

February 28, 2017



At this year’s IMAPS Device Packaging Conference, Dow experts will present sessions on Integrated Packaging and Substrate Technologies for Next-Generation Smart Devices, and Integration of Chemically Amplified Photoresist and High-Speed Copper Plating Products for Advanced Packaging Technologies.

Read More

Dow Weighs in on the Heterogeneous Integration Roadmap for 2017

February 21, 2017

This year, industry publication 3D InCites asked companies to comment on the new initiative for a Heterogeneous Integration Technology Roadmap for Semiconductors. Dow’s Rob Kavanagh shares his opinion in his 2017 outlook.

Read More

Advances in Patterning Materials and Processes at SPIE Advanced Lithography

February 20, 2017



Dow experts will present at this year’s SPIE Advanced Lithography as part of Advances in Patterning Materials and Processes Conference. Preview our presentations on embedded topcoat for EUV and soft spacer materials for advanced hole patterning.

Read More

2017 Outlook: Semiconductor Market Forecast Calls for Continued Collaboration

February 16, 2017


The semiconductor market forecast is strong for 2017. Dow's Colin Cameron discusses market drivers and the value of continued collaboration in an editorial for Solid State Technology.

Read More

New Nanorod LED Technology Responds to Light Input

February 15, 2017

Novel LED technology could create new possibilities for interacting with displays. Researchers from Dow Electronic Materials and the University of Illinois at Urbana-Champaign report on nanorod LED arrays that could be used to bring displays to life.

Read More

Will Fan-out Panel-Level Packaging Really Happen?

February 14, 2017

The advanced semiconductor packaging industry is considering a shift to fan-out panel-level packaging. Here, Dow’s Rozalia Beica and Monita Pau examine the challenges this new technology is facing.

Read More

Dow to Present at Inaugural Electron Devices Technology and Manufacturing Conference

February 09, 2017

The Electron Devices Technology Manufacturing Conference came about from the industry’s increased interest in how system integration improves device performance. This year, Dow offers its take on advanced materials and interconnect technologies for next-gen smart devices.

Read More