Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Dow to Present on the Challenges for Materials at the 14th International System-on-Chip Conference

October 05, 2016


At this year’s International System-on-Chip Conference, Dow Electronic Materials will present on the challenges facing SoC manufacturing from a chemistry perspective. Matthew Grandbois, Ph.D., will discuss how SoC devices have emerged to enable the continued evolution of electronic performance beyond what is achievable at 28nm, and Dow’s role in providing materials solutions for this challenging architectural landscape.

Read More

Hear from Dow’s Experts at the ICPT CMP Conference in Beijing

October 03, 2016


The International Conference on Planarization/CMP Technology (ICPT) will be held in Beijing, China, October 17-19, 2016. Dow Electronic Materials will be well-represented at this leading CMP conference with session topics on materials and metrology, as well as poster presentations dedicated to some of our newest CMP pad and slurry technology.

Read More

Dow to Present at PRiME 2016: From TSV to Copper Pillars’ Transformative Technology

September 27, 2016



The Pacific Rim Meeting on Electrochemical and Solid-State Science (PRiME) conference brings together leading industry players to discuss a diverse blend of electrochemical and solid-state science and technology. This year, Dow Electronic Materials will present on how TSV and copper pillars are transforming semiconductor advanced packaging technology.

Read More

Peter Trefonas, 2016 Perkin Medal Recipient, Credits Chemistry for Enabling the Information Age

September 26, 2016

dow755_Cropped
Peter Trefonas, Ph.D., received the Society of Chemical Industry (SCI) Perkin Medal on September 13, 2016, recognized as the highest honor given for outstanding work in applied chemistry in the United States. At the award ceremony, Trefonas spoke to how chemistry innovations enabled the Information Age.

Read More

Dow's Michelle Ho, Ph.D., to Discuss CMP Slurry for TSV at SEMICON Taiwan

September 01, 2016

The complexity of through-silicon via (TSV) geometry has increased the difficulty of global uniformity after the chemical mechanical planarization (CMP) process. At SEMICON Taiwan, Dow’s Michelle Ho, Ph.D., will address this in a presentation, "Expandable and Tunable CMP Slurry Platform for TSV Applications," as part of the CMP Forum on September 7, 2016.

Read More

Semiconductor Manufacturing Technologies from Dow Named Finalists for R&D; 100 Awards

August 31, 2016

Two Dow technologies used in the process of fabricating integrated circuits have been named finalists in R&D Magazine’s prestigious 2016 R&D 100 Awards. Our CTO™ 2000 Trimming Overcoat enhances the photolithography process while IKONIC™ 4100 Polishing Pads optimize performance for chemical mechanical planarization (CMP).

Read More

Dow’s Rozalia Beica to Present at the SEMICON Taiwan SiP Global Summit

August 23, 2016

The SiP Global Summit, which takes place during SEMICON Taiwan, is a two-day workshop devoted to advancements in system-in-package technologies. As part of this year’s novel material and equipment readiness segment of the program, Dow’s Rozalia Beica will tackle the challenges of both embedded technologies and fan-out wafer-level packaging.

Read More

Dow’s Peter Trefonas, Ph.D., to Be Honored with SCI Perkin Medal

June 28, 2016

trefonas

Trefonas will be honored with an SCI Perkin Medal, the highest honor given for outstanding work in applied chemistry. He is being recognized for his expertise in chemistries and materials used in photolithography processes and for creating materials that have enabled production of smaller feature sizes in semiconductor manufacturing.

Read More

Dow Electronic Materials Taiwan Site Holds Groundbreaking Ceremony for Expansion Project

June 23, 2016

Dow Electronic Materials held a groundbreaking ceremony at its Asia CMP Manufacturing and Technical Center in Hsinchu, Taiwan. Invited guests joined Dow Taiwan leaders in the ceremony events

Dow Taiwan recently hosted a groundbreaking ceremony at its Asia CMP Manufacturing and Technical Center to mark the beginning of construction. Dow will increase production capacity of materials for conventional and next-generation chemical mechanical planarization (CMP) pads in a multi-functional building close to the business’ existing facility in Hsinchu Science Park.

Read More

Dow’s Litho Technologies Team Recognized by Fairchild Semiconductor

June 20, 2016

Group of people standing together with a Dow Chemical Company logo in the background

Dow Electronic Materials has been recognized as Fairchild Semiconductor's Chemical Supplier of the Year for its materials and service for the microlithography process. The award was recently presented to representatives from Dow’s Litho Technologies team in Marlborough, MA.

Read More