Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Showing all articles related to Wafer-level Packaging

How Indium Enables Advanced Semiconductor Packaging

May 25, 2017

For a group of emerging electronics applications, high-temperature manufacturing processes pose significant challenges. In this interview, Dow’s experts explain the drivers for its latest SOLDERON plating chemistry leveraging the material properties of indium.

Read More

Role of Additives and Cu Purity in Advanced Package Reliability

May 02, 2017

Part 2 of our series on metallization examines the impact high density fan-out (HD FO), 2.5D and 3D packaging has on Cu plating requirements, and the role additives play in meeting requirements for advanced package reliability.

Read More

Market Drivers for Advanced Packaging Metallization

April 04, 2017

Advanced wafer-level packaging technologies hold the key to meeting the future needs of electronic devices. This requires advances in electronic materials, and advanced metallization technologies are no exception.

Read More

IMAPS Device Packaging Conference to Feature Next-Gen Materials and Trends

February 28, 2017



At this year’s IMAPS Device Packaging Conference, Dow experts will present sessions on Integrated Packaging and Substrate Technologies for Next-Generation Smart Devices, and Integration of Chemically Amplified Photoresist and High-Speed Copper Plating Products for Advanced Packaging Technologies.

Read More

Dow to Present on Packaging for Smart Devices at European 3D Summit

January 19, 2017

At the European 3D Summit, Dow Electronic Materials’ Rozalia Beica takes a deeper look at global trends driving advanced packaging, highlighting applications, today’s technologies and future trends.

Read More

2017 Outlook: Trends in Advanced Packaging and China’s Role

January 18, 2017

With 2017 just getting underway, Dow business leaders have been examining trends and challenges the semiconductor industry will face. Here, Rob Kavanagh reviews significant changes in the advanced packaging space over the past year and identifies trends that will influence 2017, including growth in China.

Read More

Copper Pillar Electroplating Tutorial

December 08, 2016


This tutorial examines the requirements and processing considerations for electroplated copper pillars used in advanced chip packaging applications. The key aspects of the plating process and the role of each in achieving the desired design and performance goals are described.

Read More

Managing Material Properties of Fan-out Wafer-Level Packages

August 30, 2016

Advanced packages require specialty electronic materials to be made profitably and reliably. Fan-out wafer level packaging (FOWLP), has three key structures to consider: the dielectric layer, the redistribution layer (RDL), and Cu pillars. Part two of this two-part FOWLP series investigates these key structures and considerations for managing material properties.

Read More

Dow’s Rozalia Beica to Present at the SEMICON Taiwan SiP Global Summit

August 23, 2016

The SiP Global Summit, which takes place during SEMICON Taiwan, is a two-day workshop devoted to advancements in system-in-package technologies. As part of this year’s novel material and equipment readiness segment of the program, Dow’s Rozalia Beica will tackle the challenges of both embedded technologies and fan-out wafer-level packaging.

Read More

Investigating Organic Photovoltaic Cells for Renewable Energy Conversion

August 02, 2016

Organic materials have significant potential for the next-generation of high-tech applications like solar cells, LEDs and displays. This paper explores our work with the University of Minnesota to improve understanding of how pure active materials must be to meet industry specifications and optimize device performance.

Read More